问题标记(疾控中心)

时钟域交叉。用于信息从同步逻辑从一个时钟源传输到使用不同时钟源的同步逻辑。

6个问题
过滤
贴上
5
3.答案
5k视图

跨越时钟域和异步信号的设计实践

我已经在VHDL的不同FPGA上设计了几个项目,似乎我最常见的“很难找到错误”的来源是当我忘记同步一个异步信号,或忘记重新同步一个…
2
1回答
1 k的观点

灰码时钟域跨越FIFO快到慢

我试图理解时钟交叉fifo是如何实现的,和我看到的通常的答案转换读/写地址指针到灰色代码,然后通过同步器电路到…
4
2答案
1 k的观点

跨时钟域数据总线

前段时间我问了一个关于跨越时钟域和异步信号的设计实践的问题。其中一个“规则”是永远不要同步多位信号逐位,…
5
2答案
936视图

触发器的亚稳态误差传播

我确实对使用触发器的亚稳态分辨率感到困惑,我知道我应该添加两个或三个d触发器的同步器,以保证在时钟域的安全传输。。。
3.
2答案
3k视图

Altera的时钟域交叉时间限制

我的时钟域跨越时间限制有一个小问题。我有两个时钟组set_clock_groups -asynchronous -group {clk_A} -group {clk_B}...
2
2答案
492视图

源时钟和派生时钟的SDC约束

系统中有两个时钟,clk2由clk1衍生而来,具有180度相移。从clk1到clk2有1位数据。我知道这是一种异步场景,传统的。。。