问题标记(时钟)

一种在特定频率上忽高忽低的数字信号。

990个问题
通过过滤
标记
0
2答案
58岁的观点

可控制相位的PLL或DLL

我有一个来自仪器的时钟信号,我需要用它来同步其他仪器。但是次级仪器需要有不同的相位我需要能够扫。
0
1回答
81的浏览量

如何生成1秒时钟使用verilog为Artix 7与频率为100兆赫[关闭]

我正在研究Xilinx ISE,我已经尝试了以下代码,以获得1秒时钟与50%的占空比. ...
1
投票
2答案
58岁的观点

2个ic之间的40 MHz时钟

我有一个振荡器在40 MHz,我想驱动两个ic, 1.8V输出。ic在相反的方向,所以我选择了两个时钟轨迹的星型拓扑。跟踪长度为…
0
2答案
49岁的观点

在SPI模式下CPOL=0, cpa =0,如果在下降沿上移动,如何在上升沿上采样数据?

我正在阅读关于SPI时钟极性和相位。具体来说,本文如图2所示。我的问题是关于CPHA = 0, CPOL = 0的情况。对于这个配置,我的理解是…
0
1回答
39岁的观点

在多个时钟(I2S,音频)之间切换

我试着画一个原理图,我需要从四个可能的时钟源中选择一个时钟。我想用一个简单的4路机械开关来选择电源。资料来源:22....
-1
1回答
61的浏览量

为什么在一个系统中有这么多时钟源和振荡器设计?

最近我一直在研究任天堂NES图表(制作于20世纪80年代),并在系统中发现了两个振荡器,它们也从电路的不同点到源时钟……
2
3.答案
272的浏览量

为什么将慢信号同步到快时钟域要限制1.5倍的比率?

为什么要限制1.5倍的比率将慢信号同步到快时钟域?
1
投票
0答案
31日视图

ESP32—时钟设置

我一直在尝试编写一个ESP32设备与esp-idf sdk (rtos编程)。通常,在我的个人项目中,我习惯于裸金属编程,而在工作中,我处理linux系统。似乎……
0
1回答
68的浏览量

Verilog -可编程时钟分频器

尝试在Verilog中实现一个可编程时钟分频器,输入分频值可以设置在1 (clk_out = clk_in)和2^8 (clk_out = clk_in/256)之间。下面是一个工作示例…
0
1回答
65的浏览量

当输入时钟频率超出范围时,提供备用时钟

我有一个系统,接收~352兆赫时钟信号,它被分解为提供时钟信号(与352兆赫主时钟相同步)给各个模块。精确的……
0
1回答
42岁的观点

音叉时钟分享

我正在考虑一个设计,使用MCP7940M RTC和PIC16F15223。RTC的时钟部分显示,我正在考虑使用CFS-20632768EZBB 32.768 kHz音叉风格晶体CL = 6 pF. ...
1
投票
1回答
45的观点

带有PCF8574 I2C驱动的LCD不能在低于2 MHz的频率上工作(STM32F103 Bluepill)

我正在使用STM32“Bluepill”进行一个低功耗项目,我想使用LCD,而不需要设置HCLK(核心时钟)的频率到任何超过500 kHz. ...
0
1回答
54岁的观点

是否有明确的用于微控制器的时钟源的术语,以区分是否需要微控制器CLKOUT ?

什么是最好的术语用于晶体/谐振器/振荡器与微控制器,涵盖以下每一组:时钟源,需要一个额外的振荡器电路(非门)…
0
1回答
93的浏览量

设计帮助时钟同步状态机

这是我必须用我自己的数字00000010来解决的问题。基于我的设计,我的z状态永远不会上升,除非我的y状态给出2个低…
0
1回答
69的浏览量

用FPGA模拟晶体振荡器用XTL输入怎么办?

我在Microchip/Microsemi IGLOO2 FPGA设计中实例化了一个晶体振荡器(和CCC),该振荡器的VHDL模块有一个XTL输入引脚。模拟的适当准备/接线是什么?...

15 30. 50 每个页面
1
2 3. 4 5
...
66