问题标记了[Quartus]

Quartus(特别是Quartus Prime / Quartus II,因为不再使用原来的Quartus)是来自英特尔FPGA(以前Altera)的可编程逻辑器件设计软件。

320个问题
过滤
排序
标记有
0.
投票
0.答案
3次观点

如果将“最大待处理读取”设置为0,并且如果设置为1,则会发生什么,因为qsys avalon-mm从属外设设置为1?

“最大待处理读取”似乎是QSYS中的Avalon-MM从组件存在的设置。在什么情况下可以将其设置为0或1?
0.
投票
0.答案
13次观点

如何在QSYS中掺入Avalon-MM从组件RTL中的情况如何?

文档指出,ByteEnable和ByteEnable_n:在转移期间启用一个或多个特定字节车道,在宽度大于8位的接口上。每个位数在一次相对应......
0.
投票
1回答
120次观点

限制简单的设计

我正在扼杀Quartus II + TimeQuest分析仪。该文件及时,示例不是那样的,而且初学者的解释是稀缺的。这是简单的代码:......
-1
投票
1回答
32次观点

如何使用命令行导致qsys仅生成合成或仅仿真文件?

在我的项目中有几个Qsys文件。其中一些是合成,但大多数是试验台。当对源代码进行更改时,我通常只是运行从GUI和...的生成QSYS系统
2
投票
2答案
45意见

如何使Quartus生成两个不同但引脚兼容FPGA的编程文件?

在大多数孔型板上,有一个较小的FPGA,它适合设计,但不能拟合很多信号点击龙头以帮助调试。因此,我有几个板修改了最大的销钉......
0.
投票
0.答案
21次观点

手动覆盖Quartus Fitter /引脚分配约束

我有一个我想要综合/上传到最多10个FPGA的设计。其中一个输入进入PLL。Quartus现在声称,相邻的IO引脚太靠近PLL输入引脚,不会...
0.
投票
2答案
77意见

在VHDL有限状态机中读取输入信号时意外变化

我使用两个进程在VHDL中实现了FSM;状态转换的同步过程......
0.
投票
1回答
51意见

如何纠正这些Verilog语法和声明错误?

我目前正在使用Github上使用的Nios II编译器的Arduino。我在这里提供了与编译器的链接:https://github.com/dimag0g/nios_duino。我所拥有的问题是我......
0.
投票
0.答案
41意见

如何使用两个4位双向移位寄存器形成8位双向移位寄存器?

我在作业中的一个设计问题(截止日期过去了)我真的有一些麻烦。我们被要求使用D-FLIP FLOPS设计4位双向移位寄存器,并在此之后创建...
0.
投票
1回答
48意见

Teraterm无法检测到正确的端口,FPGA项目

我是FPGA设计的初学者,并一直在积极试图完成新的项目,以获得更多的手。我目前正在努力的项目是来自南邦的教程。这个视频 ...
0.
投票
1回答
60次观点

如何纠正此SystemVerilog语法错误?

我正在努力使用我在github上找到的编译器重用Cyclone V GX FPGA上的一些Arduino代码。https://github.com/dimag0g/nios_duino我也能为...生成hdl代码
2
投票
1回答
70次观点

如何在映射到FPGA引脚时忽略仿真件?

设计具有许多模拟端口,不应与FPGA引脚绑定。VHDL示例如下源所示,其中SIM_ONLY_ *端口仅用于模拟,因此不应该...
0.
投票
1回答
40次观点

重用Quartus块示意图符号文件在另一个项目中

我正在研究一个Quartus项目,要求以前在其他Quartus项目中作为块原理图设计文件的一些设计使用。我从顶部设计生成了符号文件...
1
投票
0.答案
30次观点

避免在优化初步估计期间去除节点

我正在做一个初步的FPGA设计,我想建立我是否能够实现引脚和时钟路由 - 基本上我将所有硬IP块放在时钟,......
0.
投票
1回答
67次观点

Quartus PIN计划如何了解每个I / O库中的电压?

可以在Quartus PIN Planner中指定一系列I / O标准选项。我正在努力了解一些事情:古卢历如何知道每个银行提供电压的电压?为什么Quartus ......

15. 30. 50. 每页
1
2 3. 4. 5.
......
22.